上一页 1 ··· 8 9 10 11 12

2010年7月29日

用Quartus自动分配引脚

摘要: 以下介绍的方法很实用, 但还有许多同学不知道。因此本话题在Quartus 板块和此板块都发表,请广为传播。FPGA芯片的引脚很多,如果手工分配,工作量很大,且容易出错。应该采用自动分配引脚的方法。以DE2板子为例, 具体做法如下:1打开一个已经分配好引脚的DE2工程,应该选择使用引脚比较多的DE2工程, 比如DE2演示光盘上的DE2_Top。 2点击Assignments 菜单下的Pins 菜单项... 阅读全文

posted @ 2010-07-29 23:16 齐威王 阅读(7002) 评论(1) 推荐(0) 编辑

Matlab-simulink调用 modelsim仿真步骤:

摘要: Matlab-simulink调用 modelsim仿真步骤:1. 编写源代码准备着….2. matlab中….首先,是要先在matlab中见了与modelsim的连接:setupmodelsim;很简单的一个命令就将两个仿真软件联系起来了,呵呵。然后,,调用simulink,搭建仿真平台,这里介绍下搭建vhdl平台:在simulink中搜索vhdl cosimulat... 阅读全文

posted @ 2010-07-29 22:29 齐威王 阅读(9733) 评论(0) 推荐(0) 编辑

(转)通过文件读写方式实现Matlab和Modelsim的联合仿真

摘要: (转)通过文件读写方式实现Matlab和Modelsim的联合仿真引文来源通过文件读写方式实现Matlab和Modelsim的联合仿真 -- 轩文's Blog 虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处理的函数,而且图形显示功能也很强大,... 阅读全文

posted @ 2010-07-29 21:50 齐威王 阅读(3172) 评论(1) 推荐(1) 编辑

MATLAB与modelsim的联合调试---配置

摘要: .来源:http://blog.sina.com.cn/s/blog_50fcca1e0100abze.htmlLink for ModelSim介绍 Link for ModelSim®是一个把MATLAB/Simulink和针对FPGA 和ASIC的硬件设计流程无缝连结起来的联合仿真的接口扩展模块。它提供一个快速的双向连接将MATLAB/Simulink和硬件描述语言仿真器 Model... 阅读全文

posted @ 2010-07-29 21:49 齐威王 阅读(6002) 评论(1) 推荐(5) 编辑

2010年7月28日

CORDIC算法--流水线结构

摘要: cordic算法的Verilog实现module cordic#(parameter DATA_WIDTH=8)( input clk, input rst_n, input ena, input [DATA_WIDTH-1:0] phase_in, output reg [DATA_WIDTH-1:0] sin_out, output reg [DATA_WIDTH-1:0] cos_out, output reg [DATA_WIDTH-1:0] eps);localparam PIPELINE=8;reg [DATA_WIDTH-1:0] phase_in_reg;reg [DATA_W 阅读全文

posted @ 2010-07-28 14:51 齐威王 阅读(8927) 评论(10) 推荐(4) 编辑

上一页 1 ··· 8 9 10 11 12

导航