博客园  :: 首页  :: 新随笔  :: 联系 :: 订阅 订阅  :: 管理

verilog语法之memory存储器

Posted on 2016-07-16 21:47  期待1991  阅读(13409)  评论(1编辑  收藏  举报

命名规则:reg[n-1:0] 存储器名[m-1:0]

说明:这是m个n位的存储器,该存储器的地址范围是0-(m-1)

举例:reg[3:0] memo[255:0]

说明:这是256个4位存储器,该存储器地址范围是0-255

赋值:memo[200] = 4'b1010;

说明:给第200地址单元赋值4'b1010;

精确到位:memo[56][2]

说明:第56地址的第2位数据

赋值:wire data=memo[56][1];

说明:把memo中第56地址的第1位数据赋值给data;