【Arctic Nishoba】板级的时钟源反馈

之前遇到一个问题

测试的时候相当于将一个时钟数了1段时间之后

拉一个高电平出来 比如是10M的时钟 数了40ms之后给个置高的信号

但是每次都是少了一半的时间 比如10M的时钟输入 置高的信号是在数了20ms之后就变高了

这个是基于一个芯片测试的小FPGA测试程序

 

怀疑是各种问题 包括1.示波器坏了 2.信号发生器坏了 3.FPGA的IO口坏了

验证1很简单 找几个时钟发生器验证一下测量的时间就知道没有问题 很容易排除

验证2的时候直接观察输出的时钟频率是10M 用FPGA的拉入拉出的1个pin作为输出进行测试 也没问题

验证3不太好验证 不过输入的时钟 直接输出的时候就是10M至少证明输入的时钟信号没啥“大问题”

 

再来简单介绍一下测试环境 用1个FPGA来检测 1个socket里芯片的output信号 这两个东西都是要灌入10M的时钟 于是通过时钟发生器连接一个一拖二的SMA头来对两个芯片进行时钟的输入

但问题恰恰就出现在时钟这里 后来发现将一拖二的SMA头与socket芯片的连接断开 那么FPGA就运行正常(在40ms正常的输出一个置高的信号)

基于此 我们估测是由于一拖二的SMA头的socket一端在灌入时钟的时候 馈通了一些信号 通过SMA头的另一端接给了FPGA的时钟输入 但是由于是馈通的 赋值什么的都不清楚 所以即使通过拉入拉出的方式进行输出 由于IO的效应 导致一些干扰的现象不能被看到 于是拉入拉出看不到输入时钟的质量问题

我们的解决方案就是通过FPGA将拉入拉出的时钟灌给socket当测试用的时钟 就不要用一拖二的SMA线来进行时钟的输入了

至此 问题完美解决(板级有太多说不清楚的东西 没弄明白前 不要轻信任何东西)

posted @ 2013-04-23 10:16  poiu_elab  阅读(339)  评论(0编辑  收藏  举报