(轉貼) 如何安裝Quartus II 6.1 for Linux? (SOC) (Quartus II) (Linux)

Abstract
Quartus II 6.1為Quartus II很重要的版本,目前還是很多人在使用這個版本。

Introduction
轉貼自阿吉的部落格安裝 Quartus 6.1 for Linux

ap_F23_20080609115405691

先到Altera 的網站下載試用版的Quartus 6.1,有五個檔,如下
61_ip_linux.tar、
61_modelsim_ae_unix.tar、
61_nios2eds_linux.tar、
61_quartus_devinfo.tar、及
61_quartus_linux.tar,
其中61_quartus_devinfo.tar及61_quartus_linux.tar是必需的,可以只下載這兩個檔先裝。

將61_quartus_devinfo.tar及61_quartus_linux.tar解開後,會分別有三個目錄 linux、devinfo1、及devinfo2,分別依續執行三個目錄下的install的script,即可完成安裝。

執行 bin 目錄下的quartus,即可開始使用。不過,不知是什麼原因,我只能用root的身分才能正常執行。

假如已有 license 檔,則可以指定,只要修改hostid即可。此外,在安裝目錄下的linux的子目錄下,有個libsys_cpt.so,要稍微更動一下。使用二進位編輯程式,找到address:000BEBE3 將5589E3改為31C0C3。

實際使用 USB 連上 Altera DE2 實驗板,在 Quartus 中的 programmer 功能中,可以找到 USB-Blaster,也可以對 FPGA 做 programming。

See Also
(轉貼) 如何安裝Quartus II 8.0 for Linux? (SOC) (Quartus II) (Linux)

posted on 2008-07-03 21:45  真 OO无双  阅读(1473)  评论(0编辑  收藏  举报

导航