摘要:
1 Serial-parallel multiplier Figure 12.1 shows the RTL diagram of a serial-parallel multiplier. One of the inputvectors (a) is applied serially to t...
阅读全文
posted @ 2015-07-09 23:57
mengdie
阅读(847)
推荐(0)
摘要:
1 While loopwhile test body Thewhilecommand evaluatestestas an expression. Iftestis true, the code inbodyis executed. After bodyhas been executed,...
阅读全文
posted @ 2015-07-06 23:18
mengdie
阅读(238)
推荐(0)
摘要:
1 library IEEE; 2 use ieee.std_logic_1164.all; 3 use ieee.numeric_std.all; 4 5 library edclib; 6 use edclib.pkg_xxxlib.all; -- package from company...
阅读全文
posted @ 2015-07-06 22:06
mengdie
阅读(360)
推荐(0)
摘要:
Synthesis = Translation + Logic Optimization + Mapping Step 1 Source files 1) make directory1 mkdir Lab2 cd Lab3 mkdir HDL4 cd H...
阅读全文
posted @ 2015-07-01 15:49
mengdie
阅读(1059)
推荐(0)
摘要:
Task 1. Use help 1) What is the default switch for theredirectcommand? -filehelp -v redirect # or redirect -help 2) Use the very last example in th...
阅读全文
posted @ 2015-06-30 22:10
mengdie
阅读(305)
推荐(0)
摘要:
1 CPF The Common Power Format is a standard promoted by the Low Power Coalition atSi2.CPF is also a TCL-based language that operates on specificati...
阅读全文
posted @ 2015-06-29 16:54
mengdie
阅读(2013)
推荐(0)
摘要:
1 Target Library Design Compiler uses the target library to build a circuit. During mapping, Design Compilerselects functionally correct gates from ...
阅读全文
posted @ 2015-06-26 10:08
mengdie
阅读(824)
推荐(0)
摘要:
1 synthesis steps1) Search Paths rc:/> set_attribute lib_search_path path / rc:/> set_attribute script_search_path path / rc:/> set_...
阅读全文
posted @ 2015-06-25 22:33
mengdie
阅读(1188)
推荐(0)
摘要:
1 generic RTL Compiler work flow 2 invoking RTL compiler RTL Compiler is invoked from the operating system prompt through the rc command.rc [-32...
阅读全文
posted @ 2015-06-25 22:08
mengdie
阅读(683)
推荐(0)
摘要:
1. die Übertragung, -en 转播,传播 Das Fernsehen sendet eine Übertragung des Fußballspiels.2. ebenfalls adv.(小品词)同样地 ~ auch, gleichfalls, ebenso Als...
阅读全文
posted @ 2015-06-24 19:17
mengdie
阅读(216)
推荐(0)