摘要:
1 While loopwhile test body Thewhilecommand evaluatestestas an expression. Iftestis true, the code inbodyis executed. After bodyhas been executed,... 阅读全文
posted @ 2015-07-06 23:18
mengdie
阅读(238)
评论(0)
推荐(0)
摘要:
1 library IEEE; 2 use ieee.std_logic_1164.all; 3 use ieee.numeric_std.all; 4 5 library edclib; 6 use edclib.pkg_xxxlib.all; -- package from company... 阅读全文
posted @ 2015-07-06 22:06
mengdie
阅读(360)
评论(0)
推荐(0)
浙公网安备 33010602011771号