lzykkk

导航

2024年1月11日 #

VIVADO 时序约束3

摘要: 1.查看时序报告 对于intra-clock 小于300ps,inter-clock小于500ps 2.如果异步复位信号的撤销时间在Trecovery(恢复时间)和Tremoval(移除时间)之内,那势必造成亚稳态的产生,输出在时钟边沿的Tco后会产生振荡,振荡时间为Tmet(决断时间),最终稳定到 阅读全文

posted @ 2024-01-11 20:18 tonyxpy 阅读(28) 评论(0) 推荐(0) 编辑

时序约束2 常用指令

摘要: 外部时钟输入的约束如下: create_clock -period (clock period) -name (clock name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)] 已建立的时钟改名 create_gene 阅读全文

posted @ 2024-01-11 16:42 tonyxpy 阅读(11) 评论(0) 推荐(0) 编辑

vivado 时序约束1

摘要: 1.常见的xdc约束命令 2.对异步时钟进行时序约束 对异步时钟组和时钟域交汇进行约束 在“Clock Interaction”(时钟交互)报告中可快速明确异步关系:无公用基准时钟的时钟对或者无公共周期(未扩展)的时钟对。即使时钟周期相同,从不同时钟源生成的时钟仍为异步关系。必须仔细审查异步“Clo 阅读全文

posted @ 2024-01-11 16:18 tonyxpy 阅读(32) 评论(0) 推荐(0) 编辑