设置vivado多线程编译

tcl输入 :set_param general.maxThreads  4  

                                                  ---max 8

posted on 2016-06-28 15:33  29850706  阅读(2377)  评论(0编辑  收藏  举报

导航