如何自己用SOPC Builder建立一個能在DE2上跑μC/OS-II的Nios II系統? (IC Design) (DE2) (Quartus II) (Nios II) (SOPC Builder) (μC/OS-II)

http://www.cnblogs.com/oomusou/archive/2008/01/10/1033967.html

posted on 2014-04-16 10:23  电子组装技术  阅读(107)  评论(0编辑  收藏  举报

导航