2022年2月28日

questasim使用uvm环境——linux

摘要: 网上的教程基本都是用uvm_dip.dll文件,这是在windows系统下使用的; 而linux系统,需要使用uvm_dip.so文件,默认路径为 用户安装路径/questasim/uvm-1.1d/linux(或者linux_x86_64) 新建一个hello_word.sv文件,代码如下: `i 阅读全文

posted @ 2022-02-28 15:39 山山而川vl 阅读(589) 评论(0) 推荐(0) 编辑

导航