questasim使用uvm环境——linux
网上的教程基本都是用uvm_dip.dll文件,这是在windows系统下使用的;
而linux系统,需要使用uvm_dip.so文件,默认路径为 用户安装路径/questasim/uvm-1.1d/linux(或者linux_x86_64)
新建一个hello_word.sv文件,代码如下:
`include "uvm_pkg.sv"
module hello_world();
import uvm_pkg::*;
`include "uvm_macros.svh"
initial begin
`uvm_info ("info1","Hello UVM!",UVM_LOW)
end
endmodule
同级目录下建sim.do文件,内容如下:
vlib work
set UVM_DIP_HOME /home/eda/EDA/mentor/Questasim10.7/questasim/uvm-1.1d/linux
vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.sv
vsim -c -sv_lib $UVM_DIP_HOME/uvm_dpi work.hello_world
run 100
vsim命令框内do sim.do,运行即可看到打印出Hello UVM!
浙公网安备 33010602011771号