芯片功耗分析之生成vcd、saif文件

$dumpfile("file. dump"); 打开一个VCD数据库用于记录

$dumpvars(level,start_module); 要记录的信号,level=0表示记录所有

$dumpoff; 停止记录

$dumpon; 重新开始记录

$dumplimit(); 限制VCD文件的大小(以字节为单位)

$dumpall; 记录所有指定的信号值

 

1、生成vcd文件

后仿时,在testbench上加

//---------------------------------vcd save--------------------
initial begin

$dumpvars();
$dumpfile("name.vcd");

end

就能自动保存vcd文件。

//--------------------------截取其中一段进行保存---------

initial begin
#48500000ns
$dumpfile("mcu.vcd");
$dumpvars(0,top.cmsdk_mcu);
#4000000ns
$dumpoff;
end

2、通过vcd生成saif文件

在synopsys调用vcd2saif直接将vcd转为saif,

vcd2saif -help 命令可获取使用帮助,

vcd2saif -input file.vcd -output file.saif  即可完成转换

 

3、vcd转wlf波形文件

modelsim命令窗输入

vcd2wlf file.vcd file.wlf

即可完成转换,然后可以加载wlf文件查看波形

posted on 2021-08-05 13:48  山山而川vl  阅读(2825)  评论(0编辑  收藏  举报

导航