【转】dc综合工具启动文件 .synopsys_dc.setup

.synopsys_dc.setup文件在执行启动DC时会自动挂载(在启动DC时,请进入自己的工程目录下再进行启动,因为在DC工作过程中会生成较多的文件,也为了后面的操作更方便)。

target_library/工艺库,有.db .lib两种格式,前一种为DC所用,打开看不懂~~,后面一种为人读所用。.lib可以转换为.db 此库主要描述了,工艺库中包含了各个门级单元的行为、引脚、面积以及时序信息(有的工艺库还有功耗方面的参数),DC在综合时就是根据target_library中给出的单元电路的延迟信息来计算路径的延迟。并根据各个单元延时、面积和驱动能力的不同选择合适的单元来优化电路。上面所说的计算,更多的是指用查找表来做。

link_library/ 链接库,这其中不仅包括target_library还包含工程加入的例如ip核等文件,*代表DC的内存区域(个人也说不清楚,加上就可以了)

symbol_library/此文件为使用GUI模式显示所用

search_path 就是告知DC如果上面那些命令没有加绝对路径,去哪里找

注意:在设置例如上面DC自带参数时,尽量使用set_app_var而不是set,更安全。例如前面那条命令如果你将target拼错,在读入setup文件时会报错。但是使用set时就不会目前报错,只有到最后出问题再去找就很难确定是哪里的问题。

 

posted @ 2015-12-14 14:26  HooHooE  阅读(1044)  评论(0编辑  收藏  举报