摘要: 1.创建流程 2.创建一个寄存器基类要extends uvm_reg,然后创建寄存器的域 3.调用`uvm_object_utils()注册基类 4.创建DUT存储器模型 5.封装到一起组成一整个寄存器 6.为实现前门操作创建转换器 uvm_sequence_item reg2bus可以返回一个se 阅读全文
posted @ 2023-12-28 16:16 hc_zheng 阅读(34) 评论(0) 推荐(0) 编辑
摘要: 1. 总线的组成 完整的AHB总线由四个部分组成: ①AHB主设备Master;发起一次读/写操作; 某一时刻只允许一个主设备使用总线 ②AHB从设备Slave;响应一次读/写操作; 通过地址映射来选择使用哪一个从设备; ③AHB仲裁器Arbiter;允许某一个主设备控制总线; ④AHB译码器Dec 阅读全文
posted @ 2023-12-26 15:43 hc_zheng 阅读(37) 评论(0) 推荐(1) 编辑
摘要: 我们将讨论最常见协议的基础:串行外设接口(Serial Peripheral Interface, SPI) SPI,I2C和UART比USB,以太网,蓝牙和WiFi等协议要慢很多,但它们更简单,使用的硬件和系统资源也更少。SPI,I2C和UART非常适用于微控制器之间以及不需要传输大量高速数据的微 阅读全文
posted @ 2023-12-12 10:17 hc_zheng 阅读(31) 评论(0) 推荐(0) 编辑
摘要: 现主要应用为APB2.0与APB3.0协议 APB是低成本的接口协议,可以实现低功耗以及精简的接口设计降低接口设计的复杂度,他不支持流水线设计,主要用于低带宽的传输,且每次传输至少小号两个时钟周期 首先是APB协议的优点: 易于实现高频操作; 性能与时钟占空比无关; 静态时序分析简单; 易于与基于上 阅读全文
posted @ 2023-12-11 11:17 hc_zheng 阅读(57) 评论(0) 推荐(0) 编辑
摘要: 什么是factory机制?最大的优点? factory机制最主要的三个特点就是封装、继承、多态。其最主要的优势就是子类的指针以父类的类型传递时其表现形式仍然是子类行为。 virtual在重载里的作用,其函数仍然保留。 UVM重载的步骤 首先先注册 ·uvm_object_utils()和`uvm_c 阅读全文
posted @ 2023-12-07 19:15 hc_zheng 阅读(31) 评论(0) 推荐(0) 编辑
摘要: 什么是UVM?它的优势是什么? uvm是一个设计验证方法学,它的主要优点有复用性高,即插即用,通用性和独立性高,支持覆盖驱动,支持随机约束等等 首先在top_tb文件中加入 import uvm_pkg::*; `include "uvm_macros.svh" UVM有哪些机制? factory机 阅读全文
posted @ 2023-12-07 16:17 hc_zheng 阅读(4) 评论(0) 推荐(0) 编辑
摘要: 定宽数组:可以直接赋值,也可以先声明再赋值 其中还有多维数组 int array2[0:7][0:3];int array3[8][4];// 先个后位 int ascend[4]='{0,1,2,3}; int descend[5]; descend='{4,3,2,1,0}; descend[0 阅读全文
posted @ 2023-11-10 13:41 hc_zheng 阅读(9) 评论(0) 推荐(0) 编辑
摘要: 设置成员操作符–inside SystemVerilog增加了一个检测是否集合中–员的操作符,这个操作符的关键字是inside。 logic [2:0] a; if(a inside{3'b001,3'b010,3'b100}) //等价if((a==3'b001)||(a==3'b010)||(a 阅读全文
posted @ 2023-11-10 11:34 hc_zheng 阅读(8) 评论(0) 推荐(0) 编辑
摘要: 信号类型分为两种:变量类型,线网类型 四值逻辑:wire reg logic integer 二值逻辑:bit byte int shortint longint time real 有符号:byte int shortint longint integer real 无符号:wire reg lo 阅读全文
posted @ 2023-11-10 10:51 hc_zheng 阅读(35) 评论(0) 推荐(0) 编辑