摘要: 1. 定宽数组:compile时确定 int arry[5:0] equivalent to int arry[6] arry[5:0] = `{1,2,3,4,5,6}; arry[5:0] = `{6{6}}; arry[5:0] = `{1,2,3, default: 4}=`{1,2,3,4 阅读全文
posted @ 2023-11-02 19:10 Gary& 阅读(21) 评论(0) 推荐(0)
摘要: 恢复内容开始 null 恢复内容结束 最近一份工作都是使用C家的工具simvision debug, 在GUI界面能够直接选择All time Extend or select region Extend,而不需要添加额外的keyword才enable time delta extend funct 阅读全文
posted @ 2021-05-29 12:49 Gary& 阅读(366) 评论(0) 推荐(0)
摘要: 在TB里的initial begin块添加波形如下, 1 initial 2 begin 3 $fsdbDumpon; 4 $fsdbDumpfile ("tb.fsdb"); 5 $fsdbDumpvars (0, tb); 6 end 编译报错,如下: 查找网上资料发现让添加以下语句 novas 阅读全文
posted @ 2021-05-25 22:09 Gary& 阅读(8202) 评论(0) 推荐(1)
摘要: 有符号类型(signed):byte int integer time 无符号类型(unsigned):bit logic reg 二值逻辑:bit byte short int int long int 四肢逻辑:logic reg wire integer ////////////signed 阅读全文
posted @ 2021-05-22 22:18 Gary& 阅读(109) 评论(0) 推荐(0)
点击右上角即可分享
微信分享提示