常用sdc 指令:
- 时钟类:
create_clock
-name XXX
-period xxx [get_port xxx]
-add
create_generated_clock
-add -name xxx
-source xxx
-master_clock xxx
-divide_by x
-combinational [get_port xxx]
set_sense -stop_propagation -clocks xxx
set_case_analysis x xxxmux/xxx
clock_uncertainty xxx xxx xxx
set_clock_goups -asynchronous -name xxx -group [get_clocks clk0 clk1] -group [get_clocks clk3]
set_clock_uncertainty -setup/-hold xxx -rise_from xxx fail_to xxx
2.端口类:
set_output_delay -add_delay -max/-min xxx [get_ports xxx] -clock xxx
set_input_delay -add_delay -max/-min xxx [get_ports xxx] -clock xxx
set_input_transition xxx [all_inputs]
set_load xxx [all_outputs]
set_input_transition xxx [get_ports xxx]
3.timing:
set_multicycle_path -setup/-hold xxx [get_ports xxx]
set_false_path -from/-through [get_ports xxx]
set_min_delay xxx -from xxx -to xxx
- 其他:
set_case_analysis x xxx
set_clock_gating_check -high -setup xxx -hold xxx [get_cells xxx]
set_disable_clock_gating_check [get_pins xxx]
浙公网安备 33010602011771号