CY0904030105

  博客园 :: 首页 :: 博问 :: 闪存 :: 新随笔 :: 联系 :: 订阅 订阅 :: 管理 ::
原文地址:Modelsim的Tcl命令作者:dreamylife

 ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。

     下面就结合实例简要说明操作步骤:
1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v
2、编写.do文件(camera_tb.do),内容如下:
# Create the work library
vlib work
vmap work work

# Compile the verilog files
vlog -work work camera.v
vlog -work work camera_tb.v

# Run simulation
vsim -lib work camera_tb
view wave
add wave sim:/camera_tb/*
run 55ms
3、编写.bat的批处理文件,用于在Windows cmd下运行整个ModelSim仿真。源码如下:
     vsim -do camera_tb.do
     保存文件为camera_tb.bat。
4、在Windows cmd中运行camera_tb.bat。
     注意:以上的这些文件(camera_tb.do,camera_tb.bat),最好存放在相应的工程目录下,以便于直接点击运行,否则在cmd命令窗口中还要将路径切换到相应目录。
在工程目录下直接点击camera_tb.bat运行即可。

     运行完成DOS窗口会显示如下:

     [转载]Modelsim的Tcl命令

     得到仿真结果如下:

     [转载]Modelsim的Tcl命令

    在命令窗口会得到以下信息:

    [转载]Modelsim的Tcl命令

     在调试过程中,若对源文件进行了修改而需要重新运行仿真时,则只需要在命令窗口输入do camera_tb.do命令回车即可再一次自动完成整个仿真过程,十分方便。
     另外,若关闭DOS窗口,ModelSim也会随之一起关闭,它们两者是相互关联的,关闭任意一个另外一个也会随之关闭。

posted on 2012-04-29 18:28  CY0904030105  阅读(400)  评论(0编辑  收藏  举报