[CU]config_db机制5-uvm_config_db与uvm_resource_db的区别

1. uvm_config_db与uvm_resource_db的区别与联系

(1) uvm_config_db是从uvm_resource_db派生而来的,它对uvm_resource_db的一些功能进行了扩展,这种扩展主要体现在对资源的写入和读取上.

(1.1) 在资源的写入操作上,它重载了uvm_resource_db的set函数;

(1.2) 在资源的读取操作上,它新建了一个称为get的函数;

(2) uvm_resource_db虽然也是一种用来共享数据的类,但是层次关系在该类中没有作用; 与uvm_config_db相比,尽管uvm_resource_db也有内建的数据库,可以通过字符串或类型来索引配置数据,但缺点是层次的缺失和因此带来的自顶向下的配置覆盖关系的缺失.

(2.1) uvm_resource_db采用的是”last write wins”,即对同一配置,最后的写入有效;

(2.2) uvm_config_db采用的是”parent wins + last write wins”(假设在env中已经有配置,而test的级别高于env).

(3) uvm_config_db与uvm_resource_db共享同一套database; 因此可能会出现通过uvm_config_db::set()往database中存入信息,但用uvm_resource_db::read_by_name()从database中读取信息;

2. 选用uvm_resource_db还是uvm_config_db

(1) 选取uvm_resource_db还是uvm_config_db取决于当前的setting是否需要考虑层次信息;如果会考虑层次,则采用uvm_config_db; 如果不考虑层次,则应该使用uvm_resource_db;

 

posted on 2021-11-15 16:25  _见贤_思齐  阅读(1146)  评论(0编辑  收藏  举报

导航