Centos部署verilog仿真环境

需要安装iverilog和gtkwave,这个两个软件的安装只需要输入这两个命令就可以安装成功,非常方便。

其中iverilog最基本的使用是

1,iverilog -o design -c config.txt

2,vvp design

其中config.txt文件中包含所有需要编译的源文件

gtkwave最基本的使用是

gtkwave dump.vcd

其中VCD输出格式是默认dump格式,因为这种格式最通用。然而对于gtkwave而言,使用LXT格式更快也更紧凑

vvp design -lxt2

gtkwave dump.vcd

同时,在测试文件中需要使用如下命令,制定dump文件为.lxt后缀

$dumpfile("dump.lxt")

$dumpvars(0,test)

其中0表示dump所有量,test表示root文件名。接下来就可以用gtkwave显示波形

gtkwave dump.lxt

这样最基本的verilog仿真环境就构建好了。更详细的iverilog的使用可以参考网站

https://iverilog.fandom.com/wiki/User_Guide

posted @ 2020-10-12 20:12  史昊  阅读(1278)  评论(0)    收藏  举报