2012年12月20日

学术的谱系

该文被密码保护。 阅读全文

posted @ 2012-12-20 12:25 单向度的人 阅读(0) 评论(0) 推荐(0) 编辑

2012年12月11日

mealy machine和moore machine

摘要: 一般问这个问题,简答的回答就是一个和输入有关,一个和输出无关;两个状态机的状态转移方程是一样的。好处坏处就是mealy machine 会有glitch问题,而moore machine没有这问题。1. 为什么会这样?两个machine的状态公式都是一样的,但是mealy machine的输出因为和input和state都有关系,所以输出会是在clock edge event上。和moore machine的关系是mealy的输出一个clk period before 与moore的输出。但如果是moore machine,输出只会和state有关系,即状态稳定之后,才会有输出。Recall: 阅读全文

posted @ 2012-12-11 07:19 单向度的人 阅读(3228) 评论(0) 推荐(0) 编辑

2012年11月12日

VMM与UVM区别

该文被密码保护。 阅读全文

posted @ 2012-11-12 10:59 单向度的人 阅读(1) 评论(0) 推荐(0) 编辑

2012年10月28日

[转载]什么是native compiler?什么是cross compiler?

摘要: 原文:http://alpha-blog.wanglianghome.org/2010/12/02/what-is-native-compiler-and-cross-compiler/本文尝试以GCC为例,解释一下什么是native compiler,什么是cross compiler。首先介绍三个概念——build、host和target。build编译GCC的平台host运行GCC的平台targetGCC编译产生的应用程序的运行平台三者全部相同(build = host = target)的就是native compiler,例如我们在PC上装的Ubuntu或者Fedora里面带的GCC 阅读全文

posted @ 2012-10-28 01:05 单向度的人 阅读(534) 评论(0) 推荐(0) 编辑

2012年10月23日

[SOF] Pointers, smart pointers or shared pointers?

摘要: http://stackoverflow.com/questions/1119962/smart-pointers-when-where-and-how?rq=1My list of pointers:normal usage: normal members and (const) references to themsharing and keeping the object alive (owners, containers): shared_ptrsharing, but not keeping alive (users): weak_ptrscoped usage: scoped_pt 阅读全文

posted @ 2012-10-23 15:41 单向度的人 阅读(325) 评论(0) 推荐(0) 编辑

2012年10月22日

EDA工具介绍(数字设计)

摘要: By Rui Chen前记:在eetop论坛,或是其它站点上看到了很多介绍IC或者FPGA设计工具系统的资料,但是感觉都不是很综合。所以这里尝试做一个2012版的EDA工具介绍。FPGA设计基本设计工具,QUARTUS, ISE, Synplify pro, Modelsim.主流FPGA器件主要是两家,Altera和Xilinx。所以两家的QUARTUS和ISE是FPGA设计流程中的基础。当然synplify pro也对各自有支持。Modelsim既有OEM版,也有SE版,行行种种,作为ISE设计流程中的无缝环节,用Modelsim SE是个不错的选择。IC 设计工具基本设计工具:Verdi 阅读全文

posted @ 2012-10-22 06:18 单向度的人 阅读(4321) 评论(0) 推荐(1) 编辑

GNU的工具--gmake and make

摘要: gmake与make的区别http://javajiao.iteye.com/blog/208703一种说法:gmake是GNU Make的缩写。Linux系统环境下的make就是GNU Make,之所以有gmake,是因为在别的平台上,make一般被占用,GNU make只好叫gmake了。比如在安装二进制文件进行编译时要使用make命令,但如果在Solaris或其他非GNU系统中运行,必须使用GNU make,而不是使用系统自带的make版本,这时要用gmake代替make进行编译。另一种说法:gmake和BSD自带的make是不同的,他们的Makefile有些不兼容,比如说一些变量的写法 阅读全文

posted @ 2012-10-22 06:17 单向度的人 阅读(1141) 评论(0) 推荐(0) 编辑

CDC工具

摘要: CDC工具, Springsoft的Spyglass CDC, Cadence的conformal cdc(part of conformal LEC), Mentor的0in, Spyglass 有LEDA。http://tuxthink.blogspot.com/2010/11/libtermcapso2-no-such-file-or-directory.htmllibtermcap.so.2 no such file or directoryIf you are using a new version of ubuntu, i think 9.04 or above, and you 阅读全文

posted @ 2012-10-22 06:15 单向度的人 阅读(1228) 评论(0) 推荐(0) 编辑

让FPGA初学者头疼的各种仿真【转载】

摘要: 学习FPGA,被它的各种仿真弄的晕头转向。前仿真、后仿真、功能仿真、时序仿真、行为级仿真、RTL级仿真、综合后仿真、门级仿真、布局布线后仿真……好吧,反正我是晕了。先说一下Quartus和Modelsim软件的仿真形式:Quartus ii的两种仿真:1、功能仿真2、时序仿真;Quartus ii调用Modelsim的两种仿真:1、RTL级仿真2、Gate-level仿真。查阅了各种资料如下:资料一:1.当用quartus进行仿真时,分为功能仿真(al)和时序仿真(Timing)。2.当用Modelsim-Altera时,分为功能仿真(RTL)、综合后仿真(post-synthesis)和布局 阅读全文

posted @ 2012-10-22 06:14 单向度的人 阅读(2938) 评论(0) 推荐(3) 编辑

2012年10月20日

All about FIFO

该文被密码保护。 阅读全文

posted @ 2012-10-20 06:27 单向度的人 阅读(0) 评论(0) 推荐(0) 编辑

导航