日常记录(81)vim、ralf限制、工厂类、寄存器层、verdi仿真、property、git安装、binsof与intersect

Vim的let g:、let b:

  • 是变量的一个属性说明,g:Global
  • b:local to the current buffer
  • w:local to the current window
  • t:local to the current tab page
    ...

Vim显示状态栏设置

set statusline

ralf生成寄存器模型的限制

不论是否为单bit可接入,ralf生成的寄存器模型无法说明该项(值为1,可接入)

uvm_*_registry

该类下的create函数,包括:name、parent、contxt,contxt默认值为空字符串
image

Registers类

该类下的configure函数,是用于说明父类块的,为第一个参数。
image

verdi仿真

  • ctrl+w拉取波形
    或者get signal
    image

  • verdi打开需要filelist.f

bin2grey.v
  • 需要添加代码
    initial begin
        $fsdbDumpfile("test.fsdb");
        $fsdbDumpvars(0);
    end
  • 编译
vcs -full64 -sverilog -R -v2k -l com.log -timescale=1ns/1ns -fsdb bin2grey.v
  • 运行
verdi -f flist/bin2grey.f -ssf test.fsdb -nologo &

property

image

git安装、更新

  centos6系统:
wget http://opensource.wandisco.com/centos/6/git/x86_64/wandisco-git-release-6-1.noarch.rpm && rpm -ivh wandisco-git-release-6-1.noarch.rpm
  centos7系统:
wget http://opensource.wandisco.com/centos/7/git/x86_64/wandisco-git-release-7-1.noarch.rpm && rpm -ivh wandisco-git-release-7-1.noarch.rpm
或者
wget http://opensource.wandisco.com/centos/7/git/x86_64/wandisco-git-release-7-2.noarch.rpm && rpm -ivh wandisco-git-release-7-2.noarch.rpm

yum remove -y git

yum install git -y

binsof与intersect

image

posted @ 2022-04-24 17:59  大浪淘沙、  阅读(240)  评论(0)    收藏  举报