日常记录(37)NEADTree的切换目录、UVM环境构建
切换到当前打开文件的目录下:
:NERDTree %
cd: 将CWD改为当前目录
https://www.cnblogs.com/gaoxiaoyuan/p/3832016.html
Makefile
FILES = top_tb.sv
FLAGS = -sverilog -R -debug_all -timescale=1ns/1ps
UVM_SUPPORT = +incdir+${UVM_HOME}/src ${UVM_HOME}/src/uvm_pkg.sv
C_SUPPORT = ${UVM_HOME}/src/dpi/uvm_dpi.cc -CFLAGS -DVCS
all:
vcs ${FLAGS} ${UVM_SUPPORT} ${C_SUPPORT} ${FILES}
clean:
@rm -rf csrc simv.daidir simv ucli.key vc_hdrs.h
文件引入
在顶层文件中引入以下和其它模块,可使用uvm库中的内容。 `include "uvm_macros.svh" import uvm_pkg::*;
Le vent se lève! . . . il faut tenter de vivre!
Le vent se lève! . . . il faut tenter de vivre!

浙公网安备 33010602011771号