日常记录(34)UVM框架初始搭建、grep命令
来源
《UVM实战》的第二章
代码统计
find . -name "*.py" |xargs cat|grep -v ^$|wc -l
编译选项
top_tb为顶层,dut为待测设计。
vcs -sverilog -f filelist.f -timescale=1ns/1ps 其中的filelist.f +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv dut.sv top_tb.sv
顶层
`timescale 1ns/1ps `include "uvm_macros.svh" import uvm_pkg::*; `include "my_if.sv" `include "my_transaction.sv" `include "my_sequencer.sv" `include "my_driver.sv" `include "my_monitor.sv" `include "my_agent.sv" `include "my_model.sv" `include "my_scoreboard.sv" `include "my_env.sv" `include "base_test.sv" `include "my_case0.sv" `include "my_case1.sv"
内部关联

Le vent se lève! . . . il faut tenter de vivre!
Le vent se lève! . . . il faut tenter de vivre!

浙公网安备 33010602011771号