【紫光同创国产FPGA教程】【第二十二章】RTC时间实验

1. 实验简介

实验通过阅读DS1302芯片手册,了解DS1302操作时序和相关寄存器,然后设计程序将DS1302 RTC时间通过串口发送到PC,通过串口调试助手可以看到时间信息。

2. 实验原理

RTC(Real-Time Clock)实时时钟为系统提供一个可靠的时间,并且在断电的情况下,RTC实时时钟也可以通过电池供电,一直运行下去。RTC通过类SPI总线向FPGA传送8位数据(BCD码)。数据包括秒,分,小时,日期,天,月和年。在本实验中我们将读取RTC的时,分,秒的数据通过串口发送到PC。

2.1 硬件介绍

开发板上RTC设计采用DALLAS公司的低功耗实时时钟芯片DS1302, DS1302的VCC2为主电源,VCC1为后备电源。在主电源关闭的情况下,也可以通过电池保持时钟的连续运行。DS1302外接32.768kHz晶振为RTC电路提供振荡源。 RTC部分的原理图如下图所示:

 

开发板RTC

2.2 DS1302时序和控制

1)写数据时序

DS1302芯片写操作的时序图。第一个字节是“访问寄存器的地址”,第二字节是“写数据”。在写操作的时候,都是“上升沿有效”,然而还有一个条件,就是CE(/RST)信号必须拉高。(数据都是从LSB开始发送,亦即是最低位开始至最高位结束)。

DS1302写时序

2)读数据时序

基本上和写操作的时序图大同小异,区别的地方就是在第二个字节是“读数据”的动作。第二字节读数据开始时,SCLK信号都是下降沿送出数据,这个时候可以使用上升沿读取数据。CE(/RST)信号同样是必须拉高。(第一节数据是从LSB开始输出,第二节数据是从LSB开始读入)。

3)命令格式和寄存器

无论是读操作还是写操作,在时序图中,第一个字节都是“访问寄存器的地址”,然而这一字节数据有自己的格式。

BIT 7 固定。 BIT 6 表示是访问寄存器本身,还是访问RAM空间。 BIT 5 到BIT1 表示是寄存器或RAM空间的地址。 BIT 0 表示是访问寄存器本身是写操作,还是读操作。

下图是DS1302的寄存器地址和数据格式

3. 程序设计

通过分析DS1302读写时序,可以看出和SPI时序类似,只不过数据输出和输入分时复用了,本实验利用SPI Master模块来做为DS1302的底层读写控制模块,然后再编写一个RTC读写模块。

ds1302_io模块完成DS1302寄存器读写控制,状态机如下图所示。

状态“S_IDLE”空闲状态,收到读写寄存器请求写进入“S_CE_HIGH”状态,将CE拉高,然后根据请求类型,进入读(S_READ)或写状态(S_WRITE)。

“S_WRITE”状态下一个状态进入写地址状态“S_WRITE_ADDR”,再进入写数据状态“S_WRITE_DATA”,完成一个寄存器的写入,最后应答,拉低CE。

“S_READ”状态下一个状态进入读地址状态“S_READ_ADDR”,再进入读数据状态“S_READ_DATA”,完成一个寄存器的读取,最后应答,拉低CE。

ds1302_io状态机

信号名称 方向 说明
clk in 时钟输入
rst in 异步复位输入,高复位
ds1302_ce out DS1302 CE,高有效
ds1302_sclk out DS1302串行时钟
ds1302_io inout DS1302数据
cmd_read in 读寄存器请求,发出请求时准备好地址
cmd_write in 写寄存器请求,发出请求时准备好地址和数据
cmd_read_ack out 读寄存器应答,应答时读取数据有效
cmd_write_ack out 写寄存器应答
read_addr in 读寄存器地址
write_addr in 写寄存器地址
read_data out 读出的数据
write_data in 写寄存器数据

ds1302_io端口

ds1302模块主要完成时间寄存器的读写控制,状态机状态较为简单。

ds1302模块状态机

信号名称 方向 说明
clk in 时钟输入
rst in 异步复位输入,高复位
ds1302_ce out DS1302 CE,高有效
ds1302_sclk out DS1302串行时钟
ds1302_io inout DS1302数据
write_time_req in ds1302写时间请求,请求发出时,时间数据write_second、write_minute、write_hour、write_date、write_month、write_week、write_year要有效
write_time_ack out 写时间请求应答
write_second in 写时间:秒,BCD码,00-59
write_minute in 写时间:分,BCD码,,00-59
write_hour in 写时间:时,BCD码,,00-23
write_date in 写时间:日,BCD码,,01-31
write_month in 写时间:月,BCD码,,01-12
write_week in 写时间:周,BCD码,,01-07
write_year in 写时间:年,BCD码,,00-99
read_time_req in 读时间请求
read_time_ack out 读时间请求应答
read_second out 读时间:秒,BCD码,00-59
read_minute out 读时间:分,BCD码,,00-59
read_hour out 读时间:时,BCD码,,00-23
read_date out 读时间:日,BCD码,,01-31
read_month out 读时间:月,BCD码,,01-12
read_week out 读时间:周,BCD码,,01-07
read_year out 读时间:年,BCD码,,00-99

ds1302模块端口

ds1302_test模块主要CH状态检测,CH位于秒寄存器的BIT7位,上电后首先读取时间,判断秒寄存器的CH状态,如果为高,表示DS1302暂停,状态机进入“S_WRITE_CH”,将CH写0,并将一个初始时间写入,然后循环不断的读取时间寄存器。

ds1302_test状态机

信号名称 方向 说明
clk in 时钟输入
rst in 异步复位输入,高复位
ds1302_ce out DS1302 CE,高有效
ds1302_sclk out DS1302串行时钟
ds1302_io inout DS1302数据
read_second out 时间:秒,BCD码,00-59
read_minute out 时间:分,BCD码,00-59
read_hour out 时间:时,BCD码,00-23
read_date out 时间:日,BCD码,01-31
read_month out 时间:月,BCD码,01-12
read_week out 时间:周,BCD码,01-07
read_year out 时间:年,BCD码,00-99

ds1302_test端口

4. 实验现象

将程序下载到开发板以后,连接uart转串口到PC机

开发板串口连接图

打开串口调试助手

端口选择按照设备管理器中“Silicon Labs CP210x USB to UART Bridge”设置,波特率选择“115200”,其他值默认。

我们可以看到串口每秒会收到一条数据,显示一个时间。

posted on 2021-03-04 13:27  ALINX官方博客  阅读(499)  评论(0编辑  收藏  举报