ModelSim+SE+6.5a安装破解说明 及Xilinux 与ModelSim联合仿真 环境配置问题

ModelSim SE 6.5下载及安装步骤

及安装Xilinux后用ModelSim仿真可能问题的解决

(注明:问题包括 1.ModelSim License 问题 2.Xilinux的库在ModelSim中未注册问题)

1 安装ModelSim SE 6.5

双击源文件ModelSim-win32-6.5-se.exe,安装ModelSim。

依次出现下面以下界面,

wps_clip_image-7012

wps_clip_image-31224

wps_clip_image-10260

点击【Next>>】,

wps_clip_image-15594

点击【Agree】

wps_clip_image-27355

点击【Browse】,选择安装路径,此处安装在E:\Eapp\EDA\ModelSim下,

wps_clip_image-32224

点击【Next>>】,

wps_clip_image-1977

正在安装,

wps_clip_image-5411

单击【Yes】,建立桌面快捷方式,

wps_clip_image-20728

单击【Yes】,

wps_clip_image-626

单击【Yes】,

wps_clip_image-19338

wps_clip_image-29275

单击【Yes】,重启计算机。

2 ModelSim SE 6.5破解

2.1 生成LECENSE.dat文件

里面有个MentorKG.exe文件,双击它产生LECENSE.txt文件,将文件后缀txt改成dat。复制LECENSE.dat到Modelsim SE 6.5\win32下,此处为E:\Eapp\EDA\Modelsim SE 6.5\win32。

2.2 右击桌面上的“我的电脑”,打开“属性”/“高级”/“环境变量”,在系统变量中新建LM_LICENSE_FILE,编辑中输入上一步LECENSE.dat所在的路径,我的是E:\Eapp\EDA\Modelsim SE 6.5\win32\ LICENSE.dat,确定即可。重启计算机。

可能遇到的一些其他问题:

1.按照上面的方法将licence.dat放到指定位置,并且添加了系统变量但是还是出现

wps_clip_image-26504

Unable to check out  license necessary. Vsim is closing.

(1)你开了两个Modelsim,所以关一个吧.

(2)环境变量没有设置成功.

wps_clip_image-15189用Licensing Wizard 再设置一次

wps_clip_image-5470

我设置过一次LM_LICENSE_FILE系统变量所以现在就有了,同时你可以在Add new value里边继续添加,并且通过那个 勾选符号取消你不需要的LICENSE,最后Update

wps_clip_image-30883

然后关闭软件在打开一次modelsim就不会再出现license问题了.

2.在Xilinux中

wps_clip_image-26026

双击Simulate进行仿真时,

但是到Modelsim中仍然出现下面的错误:

Loading work.fir_b
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "xilinxcorelib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)
# Loading work.fir
# ** Warning: (vsim-3009) [TSCALE] - Module 'fir' does not have a `timescale directive in effect, but previous modules do.
#         Region: /fir_b/UUT
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "xilinxcorelib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)
# Loading work.fir1
# ** Warning: (vsim-3009) [TSCALE] - Module 'fir1' does not have a `timescale directive in effect, but previous modules do.
#         Region: /fir_b/UUT/lh01
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "xilinxcorelib_ver".
# No such file or directory. (errno = ENOENT)
# No such file or directory. (errno = ENOENT)
#             work
# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "xilinxcorelib_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver"

原因分析:

这是由于没有对Xilinx的库编译,以至Modelsim无法加载,而产生的错误。

解决方法如下:

编译ISE内的库:

1. 将Modelsim目录下的modelsim.ini文件取消只读模式。这是为了方面ISE编译库后,将库的路径等信息写入modelsim.ini,这样只用编译一次,之后就不用再编译了,只有在库更新后才需要再编译。

(注明:此步千万不要跳过,如果没有取消只读模式,则不能成功,这是导致我进行第二次编译的罪魁祸首,希望你千万谨记)

2:现在正式开始进行编译ISM库:

(1)找到compxlib.exe, 路径H:\Xilinx\10.1\ISE\bin\nt\compxlib.exe 双击

wps_clip_image-22849

找到你的modelsim的 vsim.exe路径,

wps_clip_image-4323

点击next

然后一直next,最后finish.

(注明:编译进度非常缓慢一般需要1.5h,所以做点其他事情耐心等待吧)

wps_clip_image-11129

wps_clip_image-27954

2011年12月3日22:16:04 写

posted @ 2011-12-03 22:25  likaiguo  阅读(3813)  评论(0编辑  收藏  举报
无觅关联推荐,快速提升流量