玩转FPGA山寨版

看了《玩转FPGA》,写的不错,写写山寨版和大家交流!

  博客园  :: 首页  :: 新随笔  :: 联系 :: 订阅 订阅  :: 管理

module UDP(
output y,
input  x_in1,x_in2,x_in3,x_in4,x_in5);

AOI_UDP U1(y,x_in1,x_in2,x_in3,x_in4,x_in5);

endmodule

//自定义器件

primitive AOI_UDP(output y,input  x_in1,x_in2,x_in3,x_in4,x_in5);
 table
 //x_in1,x_in2,x_in3,x_in4,x_in5,y
 0 0 0 0 0 : 1;
 0 0 0 0 1 : 1;
 0 0 0 1 0 : 1;
 0 0 0 1 1 : 1;
 
 0 0 1 0 0 : 1;
 0 0 1 0 1 : 1;
 0 0 1 1 0 : 1;
 0 0 1 1 1 : 0;
 
 0 1 0 0 0 : 1;
 0 1 0 0 1 : 1;
 0 1 0 1 0 : 1;
 0 1 0 1 1 : 1;
 
 0 1 1 0 0 : 1;
 0 1 1 0 1 : 1;
 0 1 1 1 0 : 1;
 0 1 1 1 1 : 0;
 
 1 0 0 0 0 : 1;
 1 0 0 0 1 : 1;
 1 0 0 1 0 : 1;
 1 0 0 1 1 : 1;
 
 1 0 1 0 0 : 1;
 1 0 1 0 1 : 1;
 1 0 1 1 0 : 1;
 1 0 1 1 1 : 0;
 
 1 1 0 0 0 : 0;
 1 1 0 0 1 : 0;
 1 1 0 1 0 : 0;
 1 1 0 1 1 : 0;
 
 1 1 1 0 0 : 0;
 1 1 1 0 1 : 0;
 1 1 1 1 0 : 0;
 1 1 1 1 1 : 0;
 
 endtable
endprimitive

//RTL Review

posted on 2011-07-17 11:01  Neddy11  阅读(644)  评论(0编辑  收藏  举报