2015年8月3日

基于verilog的分频器设计(奇偶分频原理及其电路实现:上)

摘要: 在一个数字系统中往往需要多种频率的时钟脉冲作为驱动源,这样就需要对FPGA的系统时钟(频率太高)进行分频。分频器主要分为奇数分频,偶数分频,半整数分频和小数分频,在对时钟要求不是很严格的FPGA系统中,分频器通常都是通过计数器的循环来实现的。 偶数分频:假设为N分频,由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。... 阅读全文

posted @ 2015-08-03 22:29 Sasha.Xu 阅读(20973) 评论(0) 推荐(0) 编辑

导航