FPGA学习记录2——Quartus II 使用

一、新建工程

1、打开Quartus2
2、点击菜单栏中的“file”,选择“New Project Wizard"

3、点击Next
4、选择工程存储路径(在此之前先新建好文件夹)

5、输入工程名字(注意到工程的名字,与实体名字一致)

6、点击Next
7、选择fpga类型和型号,根据自己的板子型号选择

8、一路Next,直到finish

9、到此一个新工程就创建完成了
10、新建一个Verilog HDL 文件

11、输入代码,测试代码链接(https://download.csdn.net/download/qq_38226273/10672648)
12、保存后,运行检查代码的正确性
编译的快捷方式有两种【ctrl+L】和【ctrl+K】。
【ctrl+L】指的是全编译,软件不但会检查代码的语法,同时还会布局布线,将代码映射成具体的网表电路。
如果我们需要将代码下载到开发板则在下载之前必须进行一次全编译,全编译的时间也相对较长。
【ctrl+K】指的是普通的编译,只是检查语法错误,编译速度较快。
若编译通过,就会显示如下图所示。

若编译没通过,则会显示红色的叉。
(一定要保证实体名和文件名、工程名保持一致,否则编译不会通过。
输入的程序要遵循VHDL文件的规则。)

二、配置引脚

1、右键点击test上面的那个东西,选择Device,如下图

2、选择"Device and Pin Options"

3、在"Configuration"中选择芯片型号

4、点击"Unused Pins",选择"As input tri-stated"

5、点击"Dual-Purpose Pins",把所有Value换成"Use as regular I/O"//视情况而定

6、点击"Voltage",选择"3.3-V LVTTL"//视情况而定

7、点击OK,然后编译工程
8、点击"Pin Planner"然后设置引脚

9、引脚设置好如下图

10、再编译一次
11、选择"tool"里面的"Programmer"

三、连接板子

插上USB-Blaster,插到fpga板子的JTAG口,给板子上电,一定要先插上调试器再给FPGA上电,左上角如果能显示USB-Blaster的驱动就能正常下载,如果没有驱动请先安装驱动,安装驱动的方法这里就不介绍了,可以去百度,Mode选择JTAG

选择左边的"Add File",然后选择扩展名为".sof"的文件,然后点击start,右上角显示"100%(Successful)"就表示下载成功,你的板子上的小灯就会愉快的跳动起来。

posted @ 2020-09-10 10:05  付元元  阅读(959)  评论(0编辑  收藏  举报