• 博客园logo
  • 会员
  • 众包
  • 新闻
  • 博问
  • 闪存
  • 赞助商
  • HarmonyOS
  • Chat2DB
    • 搜索
      所有博客
    • 搜索
      当前博客
  • 写随笔 我的博客 短消息 简洁模式
    用户头像
    我的博客 我的园子 账号设置 会员中心 简洁模式 ... 退出登录
    注册 登录

SOC/IP验证工程师

  • 博客园
  • 联系
  • 订阅
  • 管理

公告

View Post

uvm中忽略返回值void'的使用方法

uvm中void'(A_port.try_put(tr))

其中使用void'的意义表示不考虑返回值。对于括号中有返回值的函数,加上void'操作符的意思就是告诉仿真器这个函数虽然有返回值,但是我不需要这个返回值。
加上这个的唯一好处是可以让仿真器闭嘴:本来函数有返回值,但是你不使用,这时仿真器会抛出警告。加上void'可以关闭警告,让仿真log更干净。
例如:

点击查看代码
function void build_phase(uvm_phase phase);
      super.build_phase(phase);
      if(!uvm_config_db#(virtual clk_if)::get(this, "", "vif", vif))
         `uvm_fatal("clk_model", "must set interface for vif")
      void`(uvm_config_db#(real)::get(this, "", "half_period", half_period));
      `uvm_info("clk_model", $sformatf("clk_half_period is %0f", half_period), UVM_MEDIUM)
endfunction

posted on 2021-10-27 22:33  SOC验证工程师  阅读(212)  评论(0)    收藏  举报

刷新页面返回顶部
 
博客园  ©  2004-2025
浙公网安备 33010602011771号 浙ICP备2021040463号-3