modelsim 简明操作

 

1、modelsim关联第三方编辑器

 

打开modelsim安装目录下的…\tcl\vsim\pref.tcl文件,在关键字PrefSource前面添加设置外部编辑器的代码段,这里以notepad++为例,添加其他编辑器方式雷同:

proc external_editor {filename linenumber} {
    exec "D:/Program Files/Notepad++/notepad++.exe" $filename
}

set PrefSource(altEditor) external_editor

如需恢复使用内部编辑器时,则删除该代码段。

 

2、notepad++调用vlog执行语法检查

打开notepad++编辑器,按F5打开cmd命令行,在命令窗口输入以下指令调用modelsim的vlog执行verilog语法检查,保存后设置相应的快捷方式,方便以后每次可以直接通过快捷方式在源文件上执行代码语法检查。

cmd /k cd "$(CURRENT_DIRECTORY)" & D:/modeltech64_10.7/win64/vlog.exe "$(FULL_CURRENT_PATH)" &ECHO.&PAUSE&EXIT

 

  欢迎关注原创公众号:

 

 

posted @ 2019-10-16 16:48  卖红薯的小孩  阅读(1273)  评论(0编辑  收藏  举报