随笔分类 -  硬件

windows XP下 iverilog GTKWave使用(四) [转]
摘要:(三)的图片这里上传:先来张大的:不错,软件不大,够用就好了。。然后来张目录想到文件:单机test后出现c1,单击c1出现下面的signal,然后把signal里的信号拖到右侧的signals里,就可以看到下图所示的波形了。在counter.v文件里有这么一句话:always @(posedge c... 阅读全文
posted @ 2015-07-21 14:32 小光zfg
windows XP下 iverilog+GTKWave使用(三)[转]
摘要:windows XP下 iverilog+GTKWave使用(二)当中只有一些显示信息在终端里面,这里讲讲如何生成lxt2文件以便可以被GTKWave调用。在counter_tb.v文件里添加以下语句:initialbegin$dumpfile("test.vcd");$dumpvars(0,tes... 阅读全文
posted @ 2015-07-21 14:30 小光zfg
windows XP下 iverilog+GTKWave使用(二)[转]
摘要:接下来就讲讲以个计数器的仿真以编译,首先编写一个counter.v的文件,如下:modulecounter(out,clk,reset);parameterWIDTH=8;output[WIDTH-1:0]out;inputclk,reset;reg[WIDTH-1:0]out;wireclk,re... 阅读全文
posted @ 2015-07-21 14:29 小光zfg
windows XP下 iverilog+GTKWave使用(一)[转] 留给自己看的
摘要:感谢网络上的高手,以及官网的资料!最近又操起了verilog,以前安装过quartus-II和modelsim,装起来很大,现在就是想想能否有一个免费的小巧的综合工具以及波形查看工具,一搜,还真有!iverilog+GTKWave是一个不错的选择,之前在Linux下安装过,现在为了完成作业,看看有没... 阅读全文
posted @ 2015-07-21 14:17 小光zfg