Mark Lewis' BLOG

导航

【原创】VHDL error at <location>: can't write to interface object "<name>" of mode IN

今天做 VHDL homework 的时候出现了这样的错误,求助于百度谷歌无果,去查了下Quartus II的Help,果然牛,找出原因了~

截图如下:

仔细看了下,原来是有个PORT我忘了加上 “OUT” 了... VHDL初学,粗心的菜鸟,呵呵...

我的错误出处是:count_A, count_B: INTEGER RANGE 0 TO 31

改正成:count_A, count_B: OUT INTEGER RANGE 0 TO 31

我这写出来,同样遇到问题的朋友就百度谷歌得到了,呵呵~ 查不出错的时候记得问Help哦~

posted on 2010-12-30 15:56  Mark Lewis  阅读(2471)  评论(0编辑  收藏  举报