function coverage

收集function coverage,首先创建一个clas:

class component_cover extends uvm_component;

在component_class中定义covergroup:

covergroup GROUP_NAME;

endgroup

在new phase中new group;

GROUP_NAME=new();

在tlm的implement接口的write function中sample:

GROUP_NAME.sample();

然后将component在agent中例化,将其与implement接口与monitor的接口相连。

posted on 2018-01-02 11:30  lybinger  阅读(453)  评论(0编辑  收藏  举报

导航