摘要: 引子:ModelSim是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。两款软件的功能都很强大,请自行研究。注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5配置篇1 安装、和谐软件。略。2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32。3 取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。找到; Veriuser = ve 阅读全文
posted @ 2010-05-31 09:45 _安德鲁 阅读(12270) 评论(8) 推荐(12) 编辑