摘要: 引子一直在用PreCode Snppnet在Liver Writer中处理代码高亮;用起来蛮方便的。但是没有我喜欢的Verilog HDL的高亮。今天我在loydsen的启发下,决定写个基于SyntaxHighlighter的Verilog HDL组件,其实很简单。目前还在整理期间,一些关键字和函数还没有加入,还请各位长辈、行家多提意见和建议。 源代码shVerilogEnhanced.js如何使... 阅读全文
posted @ 2010-05-17 20:55 _安德鲁 阅读(1272) 评论(3) 推荐(3) 编辑