/* */
摘要: 一、ATPG Fundamentals 二、TetraMAX Flow Build Mode DRC Mode TEST Mode 三、SPF & Quick STIL 四、Faults and Coverage 五、ATPG 六、Fault Grading 七、ATSPEED ATPG and O 阅读全文
posted @ 2021-01-05 16:49 柚柚汁呀 阅读(2684) 评论(0) 推荐(0) 编辑
摘要: top down flow实践 autofix实践 scan compress 实践 occ实践 bottomup_flow实践 阅读全文
posted @ 2021-01-05 13:58 柚柚汁呀 阅读(1114) 评论(0) 推荐(0) 编辑
摘要: 一、Scan Chain基础 二、基础Scan Insertion流程 三、Tester Timing 四、DFT Rules, DRC and AutoFix 五、UDTP 六、Scan Architecture 七、Clock Gating Cell Connection 八、ATSPEED T 阅读全文
posted @ 2020-12-25 18:15 柚柚汁呀 阅读(2348) 评论(1) 推荐(0) 编辑
摘要: tmax diagnosis 当设备测试失败时,可以使用TetraMAX诊断来确定故障的位置 为此,将故障信息放入文件中(遵循指定格式),并使用run_diagnosis命令运行诊断 TetraMAX分析故障信息并报告可能导致故障的故障的位置和类型 一、tmax diagnosis flow 二、诊 阅读全文
posted @ 2021-01-09 11:13 柚柚汁呀 阅读(1961) 评论(0) 推荐(1) 编辑
摘要: 工具支持的三种testbench: MAX Testbench (通过stil2verilog将STIL pattern转换为Verilog simulation testbench) Verilog DPV Testbench (Verilog Testbench 将测试激励应用于DUT,并根据数 阅读全文
posted @ 2021-01-08 17:44 柚柚汁呀 阅读(4292) 评论(0) 推荐(0) 编辑
摘要: write_pattern <filename> [ -replace ] [ -first <d>] [-last <d> ] [ -internal | -external ] [ -serial | -parallel [d] ] [ -split [n] ] [ -nocompaction 阅读全文
posted @ 2021-01-08 17:31 柚柚汁呀 阅读(2348) 评论(0) 推荐(0) 编辑
摘要: AT-SPEED Fault 两种Faults: STR Slow to Rise STF Slow to Fall 检测fault需要每个pattern需要两个向量 当且仅当缺陷延迟导致逻辑无法通过全速测试时,才会发生故障 故障位置取决于故障模型 At-Speed有两种fault模型: ①Tran 阅读全文
posted @ 2021-01-08 17:01 柚柚汁呀 阅读(1692) 评论(0) 推荐(0) 编辑
摘要: 一、functional pattern 测试覆盖率不够,用functional pattern做为补充。 functional pattern保存在EVCD文件里: TEST-T> set_patterns -external -strobe .. filename.evcd 怎么生成EVCD文件 阅读全文
posted @ 2021-01-08 15:11 柚柚汁呀 阅读(1745) 评论(1) 推荐(0) 编辑
摘要: Basic-Scan(优先使用) 速度极快,覆盖范围广,可进行全扫描 Fast-Sequential(如果有需要使用) 全扫描设计的覆盖范围更大; 适用于memory周围的阴影逻辑、有限的no--scan Full-Sequential(最后使用) 强大的引擎支持更复杂的设计 run_atpg -a 阅读全文
posted @ 2021-01-07 17:53 柚柚汁呀 阅读(5829) 评论(0) 推荐(3) 编辑
摘要: 一、主要的fault分类 DT——Detected PT——Possibly Detected UD——Undetected AU——ATPG Untestable ND——Not Detected 使用set_faults -symmary verbose查看更详细的fault分类: ① DT—— 阅读全文
posted @ 2021-01-07 12:00 柚柚汁呀 阅读(7550) 评论(0) 推荐(1) 编辑
摘要: 一、STIL Protocol文件基础结构 包含的内容: scan input和output的名称 哪些pin充当“clock” pins、clock、measures的时序定义 端口约束、等效关系 test setup sequence、test_setup macro Scan enable s 阅读全文
posted @ 2021-01-06 17:11 柚柚汁呀 阅读(4099) 评论(1) 推荐(1) 编辑
摘要: TetraMax DRC 1.read netlist, run_build_model期间 N rules ——netlist (N2和N5 warning要重视,可以将其设置为error:set_rules n5 error) B rules ——build (B5 error,可通过repor 阅读全文
posted @ 2021-01-06 16:54 柚柚汁呀 阅读(1388) 评论(0) 推荐(1) 编辑
摘要: 一、Build Mode 读入网表: BUILD-T> read_netlist /libs/0.18u/*/??DFF*.v (?代表1位,*代表n位) 若两个同名文件默认读入最后一个: set_netlist -redefined_module last | first 只能读structura 阅读全文
posted @ 2021-01-05 18:28 柚柚汁呀 阅读(1907) 评论(0) 推荐(0) 编辑
/*
*/