摘要: 一、串并转换 module left_shifter_reg ( input clk , input rst_n , input din , output reg [7:0] dout ); always @(posedge clk or negedge rst_n) begin if(!rst_n 阅读全文
posted @ 2020-08-17 21:17 咸鱼IC 阅读(4292) 评论(0) 推荐(1) 编辑
摘要: 一、序列检测发生器 以产生 11010 的序列为例,设计代码如下: 1 module seq_gen( 2 input clk , 3 input reset , 4 output out 5 ); 6 7 reg [4:0] shift ; 8 9 always@(posedge clk or p 阅读全文
posted @ 2020-08-17 20:52 咸鱼IC 阅读(1958) 评论(0) 推荐(0) 编辑
摘要: 一、概念 1、浮点数:小数点位置是漂浮不定的。 例如:浮点数运算 1.1 * 1.1 = 1.21,小数点位置发生了变化。 IEEE 754 规定,浮点数的表示方法为: 最高的 1 位是符号位 s,接着的 8 位是指数E,剩下的 23 位为有效数字 M。 2、定点数:小数点的位置是确定的。 例如:定 阅读全文
posted @ 2020-08-17 17:32 咸鱼IC 阅读(6889) 评论(0) 推荐(1) 编辑