摘要: 一、题目 在一个时钟周期内算出输入的13路脉冲信号中,高电平的个数。 二、代码 1 //************************************************************************** 2 // *** 描述 : 在一个时钟周期内算出13路脉冲信号 阅读全文
posted @ 2020-08-09 14:00 咸鱼IC 阅读(2522) 评论(3) 推荐(0) 编辑