摘要: Notepad++ 是一款精致小巧的编辑器,自带 Verilog 语法识别功能,插件也挺好用的。尤其是利用插件实现代码片段,大大节省我们写 Verilog 的时间。此外少有人知道的,可以利用某款插件实现在 Notepad++ 界面中编译 Verilog 的功能。下面就来说说这几个功能要如何设置。 版 阅读全文
posted @ 2019-01-14 20:56 咸鱼IC 阅读(18133) 评论(25) 推荐(3) 编辑