2011年11月27日

【原创】Quartus II 实验流程说明书

摘要: [原创]Quartus II 实验流程说明书Abstract本说明书详细介绍了如何使用Quartus II进行建立工程、HDL文件输入、编译、仿真、引脚锁定、配置FPGA等实验流程。并且就学生在实验过程中的一些常见问题给出详细的解决方法。1 建立工程(1)首先打开 Quartus II 开发平台(笔者此处使用的是 Quartus II 9.1 版本),如图1-1所示。图1-1 Quartus II 窗口(2)新建工程,点击File,在弹出菜单中选择 New Project Wizard…,如图1-2所示。图1-2 新建工程(3)随后弹出新建工程引导窗口,如图1-3所示。根据提示填写工程目录(工 阅读全文

posted @ 2011-11-27 15:05 wg88719 阅读(7515) 评论(1) 推荐(2) 编辑

导航