Verilog中常见文件操作($readmemb,$fopen,$fwrite,$fclose)
一、$readmemb/$readmemh
$readmemb和$readmemh用来从文件中读取数据到存储器中。
其中readmemb要求每个数字是二进制数,readmemh要求每个数字必须是十六进制数字。
数字中不定值x或X,高阻值z或Z,和下划线(_)的使用方法和代表意义与一般Verilog HDL程序中的用法一致。
在Verilog语法中,一共有以下六种用法:
- (1)$readmemb("<数据文件名>",<存储器名>);
- (2)$readmemb("<数据文件名>",<存储器名>,<起始地址>);
- (3)$readmemb("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);
- (4)$readmemh("<数据文件名>",<存储器名>);
- (5)$readmemh("<数据文件名>",<存储器名>,<起始地址>);
- (6)$readmemh("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);
二、$fopen,$fwrite,$fclose
$fwrite用来向文件中写入数据。与文件读取不同,文件写入需要打开文件,写入,最后关闭文件。操作流程如下:
handle = $fopen("D:/file.txt","w");//按照写操作打开文件,得到对应的句柄,作为文件操作标识;其中,文件路径间隔为“/”而不是“\”
$fwrite(handle,"%d\n",data);//按照十进制格式写入数据到handle对应文件中,只能逐个写入(即data不能是数组)
$fclose(handle);//关闭文件,至此文件操作结束
三、仿真验证
验证流程:
(1)新建文件num.txt,存入0-10共11个二进制数;
(2)测试文件中,将num.txt中的数据按照二进制格式读入到寄存器;
(3)再将寄存器中的数据反序按照10进制存入num2.txt
`timescale 1ns / 1ps
module file_test(
);
reg [3:0]data[0:15];
reg [3:0]data2[0:15];
integer handle1;
integer i=0;
initial
begin
$readmemb("F:/vivado_files/num.txt",data);
handle1 = $fopen("F:/vivado_files/num2.txt","w");
repeat(16)
begin
$fwrite(handle1,"%d\n",data[15-i]);
i = i+1;
end
$fclose(handle1);
end
endmodule
浙公网安备 33010602011771号