摘要: 1. 引言 在利用Verilog写数字信号处理相关算法的过程中往往需要对数据进行量化以及截位。而在实际项目中,一种比较精确的处理方式就是先对截位后的数据进行四舍五入(round),如果在四舍五入的过程中由于进位导致数据溢出,那么我们一般会对信号做饱和(saturation)处理。所谓饱和处理就是如果 阅读全文
posted @ 2022-01-17 16:31 Vinson88 阅读(1227) 评论(0) 推荐(0) 编辑