摘要: Verilog-1995 VS Verilog-20012001年3月IEEE正式批准了Verilog‐2001标准(IEEE1364‐2001),与Verilog‐1995相比主要有以下提高。1、模块声明的扩展(1) Verilog‐2001允许将端口声明和数据类型声明放在同一条语句中,例子如下:(2)Verilog‐2001中增加了ANSIC风格的输入输出端口声明,可以用于module,tas... 阅读全文
posted @ 2013-08-04 16:26 T_shell 阅读(14526) 评论(2) 推荐(7) 编辑