摘要: 第一次做后仿,就出现了问题,发现如果不加SDF,波形也会有延时:后来发现,这些延时都是仿真库中定义的,而且加载SDF后的延时就是实际的延时了,而不是库中定义的延时,但出现了好多违例,modelsim波形如下:箭头处出现了holdtime违例,原来是仿真库中含有时序检查函数:$setuphold(posedgeCK&&&(SandR==1),posedgeJ,tsetup$J$CK,thold$J$... 阅读全文
posted @ 2013-03-13 12:51 T_shell 阅读(2512) 评论(0) 推荐(0) 编辑