摘要: 注意这是哥的原创。起因是看到了M8051里面的memory,都有个define的延时,所以这里想查一下nc的选项看能不能给在ncelab的选项里面 直接给避过去,但是发现有了些学问,听我慢慢到来。首先 行为级描述的延时都是不可搞的 就是你在ncelab里面设置了0延时 但是其实是不起作用的ncelab里面搞0延时的选项是-delay_mode zero,具体详情可以在查看一下nc的帮助 这个选项能起什么作用呢一、先让我们来看看线网时延,举例如下1.wire #5 arb;这个代表的意识就是arb这个wire的反映要慢#5,这个的意思就是说,当你在赋值的时候就会发现如果像上面这样声明的话就会有着 阅读全文
posted @ 2012-08-27 21:56 poiu_elab 阅读(1258) 评论(1) 推荐(0) 编辑