摘要: specify block用来描述从源点(source:input/inout port)到终点(destination:output/inout port)的路径延时(path delay),由specify开始,到endspecify结束,并且只能在模块内部声明,具有精确性(accuracy)和模块性(modularity)的特点。specify block可以用来执行以下三个任务:一、描述横穿整个模块的各种路径及其延时。(module path delay)二、脉冲过滤限制。(pulse filtering limit)三、时序检查。(timing check)specify block 阅读全文
posted @ 2012-08-25 14:30 poiu_elab 阅读(3840) 评论(0) 推荐(1) 编辑