摘要: 伪双口RAM的写端口位宽和读端口的位宽可以不一致,但对应读写端口的深度也需要改变。 例如写端口Port A 数据位宽设置为 8bit,深度为 256 ; 读端口Port B 数据位宽设置为16bit,那么对应的深度也需要减半,即128;因为读端口 每个时钟能够读出16bit的数据,所以深度也只需要一 阅读全文
posted @ 2020-06-13 16:39 p_sa 阅读(1914) 评论(1) 推荐(1) 编辑