Debussy VerilogVHDL ISE仿真平台搭建步骤


一、
Xinlinx编译库+Modelsim+Debussy版本
1.安装modelsim10.1c 32bit版本(注意64bit与Debussy不兼容)
2.安装Debussy54v9-NT
2.1设置path路径 D:\Novas\Debussy\bin
3.解压编译后的xinlinx库
Modelsim_xilinx_libs__modeltech_10.1c.rar 到..\modeltech_10.1c文件夹下
DebussyXilinxLib__etc-kdb-vhdl-32.rar 到..\Debussy\etc\kdb\vhdl\32文件夹下
3.1 在modelsim中配置xinlinx库环境
在..\modeltech_10.1c\modelsim.ini文件如下位置,添加已编译好的库。(去掉文件只读属性,路径替换为你自己的路径)
[Library]
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
verilog = $MODEL_TECH/../verilog
vital2000 = $MODEL_TECH/../vital2000

std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys
modelsim_lib = $MODEL_TECH/../modelsim_lib
sv_std = $MODEL_TECH/../sv_std
mtiAvm = $MODEL_TECH/../avm
mtiOvm = $MODEL_TECH/../ovm-2.0.1
mtiUPF = $MODEL_TECH/../upf_lib
mtiPA = $MODEL_TECH/../pa_lib

;//add
UNISIMS_VER = D:\modeltech_10.1c\xilinx_libs\unisims_ver
SIMPRIMS_VER =D:\modeltech_10.1c\xilinx_libs\simprims_ver
XILINXCORELIB_VER = D:\modeltech_10.1c\xilinx_libs\xilinxcorelib_ver
CPLD_VER = D:\modeltech_10.1c\xilinx_libs\cpld_ver
UNI9000_VER = D:\modeltech_10.1c\xilinx_libs\uni9000_ver
UNISIM = D:\modeltech_10.1c\xilinx_libs\unisim
SIMPRIM = D:\modeltech_10.1c\xilinx_libs\simprim
XILINXCORELIB = D:\modeltech_10.1c\xilinx_libs\xilinxcorelib
CPLD = D:\modeltech_10.1c\xilinx_libs\cpld
EDK =D:\modeltech_10.1c\xilinx_libs\edk
SECUREIP=D:\modeltech_10.1c\xilinx_libs\secureip
UNIMACRO=D:\modeltech_10.1c\xilinx_libs\unimacro
UNIMACRO_VER=D:\modeltech_10.1c\xilinx_libs\unimacro_ver

前面几行是其中本来有的,后面的几行是我自己手动加进去的

3.2 在modelsim中配置Debussy环境
1)将..\Debussy\share\PLI\modelsim_fli54\WINNT\novas_fli.dll复制到..\modeltech_10.1c\win32\
(fli支持Verilog and VHDL,pli仅支持Verilog;54表示modelsim至少5.4版本,
详见debussy doc, linking.pdf P24)
修改..\modeltech_10.1c\modelsim.ini,将Veriuser部分修改成如下所示:
; List of dynamically loaded objects for Verilog PLI applications
; Veriuser = veriuser.sl

;//add
; use by verilog
;Veriuser = novas.dll
; use by vhdl and verilog
Veriuser = novas_fli.dll
添加完后把文件只读属性加上。
复制..\Debussy\share\PLI\modelsim_fli54\WINNT\novas.vhd到自己的project下

2)Testbench中加入(for VHDL)
use work.pkg.all;
同样加入
process begin
fsdbDumpfile("counter.fsdb");
fsdbDumpvars(0, "counter_tb");
wait;
end process;

3)ModelSim 编译脚本中加入
vcom -explicit -93 novas.vhd
若遇到编译# ** Warning: (vsim-FLI-3159) Failed to find foreign function 'fliparseVariableInFile' in FLI object file "$Modelsim10.0a\win32/./novas_fli.dll".
可忽略
4)编译仿真命令为
vlog "../rom_test.v"
vcom -explicit -93 ./test.vhd
vsim -c -voptargs="+acc" -t 1ps -L XilinxCoreLib -lib work work.tb_top -pli novas_fli.dll
-L 表示调用编译好的库,详见 vsim -help

3.3 Debussy配置Xinlinx库环境
修改..\Novas\Debussy\etc\novas.rc,以便启动Debussy时自动装载这些库文件。
打开..\Novas\Debussy\etc\novas.rc搜索:
357 [VHDL_libraries]
358 work = ./work
在work = ./work后加入(路径改为你自己的路径,注意反斜杠"/")
unisim = D:/Novas/Debussy/etc/kdb/vhdl/32/unisim.lib++
XilinxCoreLib = D:/Novas/Debussy/etc/kdb/vhdl/32/XilinxCoreLib.lib++

4.环境测试工程"polyphase_two clk.rar",工程里的 novas.rc,novas.vhd 文件要替换为你修改后的文件,
运行里面脚本compile.bat,compile_debussy.bat,run.bat,view_debussy.bat(建议先删除里面编译生成的文件,再编译
编译生成的文件:Debussy.exeLog vericom.exeLog vhdlcom.exeLog work work.lib++)
5.若搭建未正确,首先检查环境变量是否设置好。
6.后续文件比较推荐工具"Araxis_Merge2014"

7.1.若要与zstudio同时使用,先将日期提前
比如提前一年,禁用系统时间联网自动更新(20150101->20140101)
计算机 右键->管理->服务->Windows Time,选择禁用

若之前已经安装过modelsim或debussy,并且你在20140101之后使用过modelsim,哈哈,那你想和zstudio同时使用就很难了。
个人尝试:
我卸载了modelsim,重启电脑,再次安装,始终报license错误,后面我在注册表里面看见 有modelsim项目文件的路径,
也就是说modelsim启动时会检测他生成文件的日期,若有文件日期晚于此时启动时间,就会报license错误(猜测)
7.2 或者装本文件夹Zstudio-LIC-crack,详见里面的readme.txt

8.常见问题:
1)生成的xilinx IP若提示 Error: ../rom_test.v(176): Module 'BLK_MEM_GEN_V7_3' is not defined.
确认仿真脚本中有 -L XilinxCoreLib
2)使用VHDL生成的ise IP rom仿真模型,部分波形显示不全(或者有错误)。


二、
Xinlinx+Modelsim+Debussy版本
1.安装Xilinx ISE14.4(时间较长)
2.安装modelsim10.1c 32bit版本(注意64bit与Debussy不兼容)
3.安装Debussy54v9-NT
3.1设置path路径 C:\Novas\Debussy\bin
4.编译xinlinx库(for modelsim),使用ISE编译,
详见“关于xilinx ise10.1与modelsim仿真库编译.txt"方法一
若遇到问题,可参考
”Xilinx ISE 10.x 调用Modelsim SE 6.5仿真的若干问题及其解决方法“
5.编译xinlinx库(for Debussy),使用Debussy编译,
编译步骤详见"Modelsim+Debussy?for?VHDL_bienvenue_新浪博客",
编译脚本详见"debussy_compile_xilinxLib.bat",
编译遇到问题,可参考
"Debussy学习笔记-fliparseVariableInFile问题",
"modelsim10.c无法载入debussy的novas_fli.dll"。
“定义的flipaseVariableInFile在novas_fil.dll找不到”这个warning可以忽略。
6.环境测试工程"polyphase_two clk.rar",工程里的novas.rc,novas.vhd文件要替换为你修改后的文件,
运行里面脚本compile.bat,compile_debussy.bat,run.bat,view_debussy.bat(建议先删除里面编译生成的文件,再编译)
7.若搭建未正确,首先检查环境变量是否设置好。
8.后续文件比较推荐工具"Araxis_Merge2014"

posted @ 2016-11-09 00:49  luoyanghero  阅读(1600)  评论(0编辑  收藏  举报