摘要: 构建基于aemb的sopc系统,aemb + wishbone + onchip-memory + gpio + uart,做系统仿真,并构建QuartusII工程,下载到DE2-70开发板上观察效果,附带实例源码。 接上一篇(原创)基于or1200最小sopc系统搭建(四)--修改setup文件。 阅读全文
posted @ 2010-06-04 10:35 任怀鲁 阅读(2079) 评论(5) 推荐(2) 编辑