摘要: 在 Mac 上编写 Verilog 代码 前言 本文将会介绍在 Mac 上如何编写,编译和仿真你的 Verilog 代码,来完成冯爱民老师《计算机组成原理A》课程的实验内容,我将会介绍一款免费的文本编辑器 Sublime Text ,一个自由软件 "Icarus Verilog" ,一个免费的波形文 阅读全文
posted @ 2015-05-21 22:10 ljlin 阅读(9416) 评论(2) 推荐(1) 编辑